Free Appendix - District Court of Delaware - Delaware


File Size: 5,929.7 kB
Pages: 119
Date: September 8, 2008
File Format: PDF
State: Delaware
Category: District Court of Delaware
Author: unknown
Word Count: 10,932 Words, 65,649 Characters
Page Size: Letter (8 1/2" x 11")
URL

https://www.findforms.com/pdf_files/ded/37534/86.pdf

Download Appendix - District Court of Delaware ( 5,929.7 kB)


Preview Appendix - District Court of Delaware
Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 1 of 53

IN THE UNITED STATES DISTRICT COURT FOR THE DISTRICT OF DELAWARE PROMOS TECHNOLOGIES, INC., Plaintiff, v. FREESCALE SEMICONDUCTOR, INC., Defendant. ) ) ) ) ) ) ) ) ) )

C.A. No. 06-788 (JJF)

APPENDIX TO FREESCALE'S OPENING CLAIM CONSTRUCTION BRIEF VOLUME I: EXHIBITS RELATING TO THE FORTIN PATENT

MORRIS, NICHOLS, ARSHT & TUNNELL LLP Mary B. Graham (#2256) James W. Parrett, Jr. (#4292) 1201 N. Market Street P.O. Box 1347 Wilmington, DE 19899-1347 302.658.9200 OF COUNSEL: David L. Witcoff Kevin P. Ferguson John M. Michalik JONES DAY 77 West Wacker Chicago, IL 60601-1692 312.782.3939 F. Drexel Feeling JONES DAY North Point 901 Lakeside Avenue Cleveland, OH 44114-1190 216.586.3939 Dated: November 6, 2007 Attorneys for Freescale Semiconductor, Inc.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 2 of 53

TABLE OF CONTENTS EXHIBIT A B C D E DESCRIPTION U.S. Patent 6,670,267 Chang, et al., Effects of Barrier­Metal Schemes of Tungsten Plugs and Blanket Film Deposition (2000) Herner, et al., "Volcano" Reactions in Oxide Vias Between Tungsten CVD and Bias Sputtered TiN/Ti Films (2000) Plummer, et al., Silicon VLSI Technology; Fundamentals, Practice and Modeling (2000) Wang, et al., Enhanced Metalorganic Chemical Vapor Deposition Titanium Nitride Film Fabricated Using Tetrakis­Demethylamino­Titanium for Barrier Metal Application in Sub­Half­Micron Technology (1996) Wolf, et al., Silicon Processing for the VLSI Era Volume 1: Process Technology Second Addition (2000) Office Action dated 4/23/2002 Amendment dated 7/30/2002 Office Action dated 12/27/2002 Amendment dated 3/28/2003

F G H I J
1307607

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 3 of 53

EXHIBIT A

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 4 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 5 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 6 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 7 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 8 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 9 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 10 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 11 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 12 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 13 of 53

EXHIBIT B

Case 1:06-cv-00788-JJF
Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 4738­4743 Part 1, No. 8, August 2000 c 2000 The Japan Society of Applied Physics

Document 86

Filed 11/06/2007

Page 14 of 53

Effects of Barrier-Metal Schemes of Tungsten Plugs and Blanket Film Deposition
Hui-Lin C HANG 1 and Pei-Ren J ENG 2
UTEK Semiconductor Corporation, Ltd., No.17, Li-Hsin Road, Science-Based Industrial Park, Hsinchu 300, Taiwan, Republic of China (Received February 7, 2000; accepted for publication May 1, 2000)

This work investigates the effects of various contact integration schemes on tungsten (W) plug formation. The deposition rate, surface morphology, sheet resistance and reflectivity of W deposited on various substrates are also characterized. Experimental results indicate that the titanium nitride (TiN) film which does not undergo post-rapid-thermal-processing (RTP) treatment has a higher W deposition rate than TiN film which under goes the post-RTP treatment. The W plug formed on chemical vapor deposition (CVD) TiN has easily found voids, which result in high contact resistance. To reveal the relationship of CVD TiN process conditions and W plug loss, process variables including film thickness, plasma ambient, in situ plasma treatment periods and cycles are tested. According to these results, plasma ambient has a strong influence on W plug loss during the etch-back process. In addition, the electrical characteristics of various contact integration schemes are examined in terms of both n+ and p+ contact resistance. This work also proposes a superior contact integration scheme to achieve low contact resistance and high production efficiency.
KEYWORDS: PVD TiN, CVD TiN, plasma, W plug, RTP

1. Introduction As device dimensions shrink to the sub half-micron scale and below, the filling of a high aspect ratio contact hole or via is an inherent part of the design. In chemical vapor deposition (CVD), tungsten (W) has been extensively applied to contact/via plugs and interconnects owing to its superior step-coverage.1­6) Generally, titanium nitride (TiN) film acts as an adhesive layer for W deposition and as a diffusion barrier which offers protection against the reaction of WF6 and Ti.7­10) The conformity of TiN film is essential and is a process challenge when fabricating deep holes with small features. Conventional physical vapor deposition (PVD) TiN film has been widely used as a barrier layer because of its high chemical stability and cleaner process than CVD process. However, obtaining acceptable step-coverage for a high aspect ratio hole is extremely difficult, because a serious overhang exists at the top corner of the hole and limits the subsequent filling of films, e.g., CVD W and Al sputtering. Therefore, CVD TiN film is widely used because of its excellent step-coverage of trenches with an aspect ratio up to seven has been demonstrated.11) Various CVD TiN film formation methods have been reported.12­15) Two widely used organometallics are tetrakis-dimethylamino-titanium (TDMAT), and tetrakisdiethylamino-titanium (TDEAT). The incorporation of carbon is a problem which arises in the synthetic method. Oxygen which is absorbed into as-deposited TiN films increase film resistivity and is another processing problem. To solve these problems, related investigations have employed in situ plasma treatment in order to reduce the carbon content and increase film stability.16, 17) Other investigations have presented various barrier-metal schemes, including ionized metal plasma (IMP) Ti/RTP/PVD TiN, IMP Ti/RTP/CVD TiN, IMP Ti/PVD TiN/RTP, IMP
1 Now

Ti/CVD TiN/RTP.18, 19) Using CVD TiN film as the barrier layer for W deposition is generally found to cause serious W plug loss problems during W etch-back.20) Several methods, such as capping a PVD TiN film on a CVD TiN film, or reducing the etching rate have been used. However, these methods are not efficient for production. Enhancing CVD TiN film stability rather than optimizing the W etch-back process procedure is the preferred approach since the tunable process parameters of etch-back are found to be too narrow in the present study. This work elucidates the physical properties of W deposited on various substrates. The film properties of CVD TiN and PVD TiN are studied. Here, the CVD TiN film is based on the thermal decomposition of TDMAT. Scanning electron microscope (SEM) is used to inspect W plug contacts formed by different contact integration schemes, including various types of TiN films and RTP process sequences, and the contact resistance of n+ and p+ are evaluated in the dynamic random access memory (DRAM) product. 2. Experimental PVD TiN film, IMP Ti film and CVD TiN film were fabricated in either PVD or CVD chamber of Applied Materials Endura systems, and RTP and CVD W film were conducted in lamp-heat and CVD chamber of Applied Materials Centura systems, respectively. IMP Ti film deposition was performed at a temperature of 300 C, and PVD TiN film deposition was carried out in an unheated chamber with a collimator inserted for enhanced film step-coverage. CVD TiN film was deposited using TDMAT with or without in situ plasma treatment at a temperature of 450 C and with helium (He) as the delivering gas. In situ plasma treatments were conducted using N2 or N2 /H2 , which was following the as-deposited film in the same chamber. In this study, the cycle was carried out one or twice, and the treatment period was 30 s or 60 s. One cycle indicates film deposited by one plasma treatment, and two cycles refers to the repetition of one cycle. This study uses "cycle x thickness" to denote the deposition condition of film. The RTP process was conducted in NH3 ambient with two process temperature and period steps, which were 600 C, 60 s and 760 C, 20 s. W films were deposited by reducing WF6 with hydrogen at a heater temperature of 450 C. Followed by

on leave from Department of Material Science and Engineering, National Chiao Tung University 1001 Ta-Hsueh Road, Hsinchu 300, Taiwan, Republic of China. Corresponding author. Email address: [email protected] 2 Now on leave from Macronix International Corporation, Ltd. Advanced Module Process Development Div., No. 16, Li-Hsin Road, Science-Based Industrial Park, Hsinchu 300, Taiwan, Republic of China.

4738

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 15 of 53
4739

Jpn. J. Appl. Phys. Vol. 39 (2000) Pt. 1, No. 8

H.-L. C HANG and P.-R. J ENG

Table I. Samples 1 to 20 with the following contact integration schemes are deposited on Si (100), structure or device wafers. The thickness of IMP Ti = 600 Å and the RTP conditions are 600 C, 60 s, 760 C, 20 s in NH3 ambient; the barriers films using either PVD or CVD TiN are found as follows. CVD TiN plasma-treatment period is 30 s for one cycle except for sample 8, which is 60 s for one cycle. Sample no. 1;11;16 2;12;17 3;13;18 4;14;19 5;15;20 6 7 8 9 10 Barrier film condition PVD TiN = 600 Å CVD TiN = 2 × 100 Å (N2 /H2 ) CVD TiNa) = 150 Å CVD TiNa) = 150 Å CVD TiN = 2 × 100 Å (N2 /H2 ) CVD TiNa) = 150 Å CVD TiN = 1 × 150 Å (N2 /H2 ) CVD TiN = 1 × 150 Å (N2 /H2 ) CVD TiN = 2 × 100 Å (N2 /H2 ) CVD TiN = 2 × 100 Å (N2 ) Contact integration scheme IMP Ti/RTP/PVD TiN/W IMP Ti/RTP/CVD TiN/W IMP Ti/RTP/CVD TiN/W IMP Ti/CVD TiN/RTP/W IMP Ti/CVD TiN/RTP/W IMP Ti/RTP/CVD TiN/W IMP Ti/RTP/CVD TiN/W IMP Ti/RTP/CVD TiN/W IMP Ti/RTP/CVD TiN/W IMP Ti/RTP/CVD TiN/W Types of wafer Si; structure; device Si; structure; device Si; structure; device Si; structure; device Si; structure; device structure structure structure structure structure

a) CVD TiN which did not undergo in situ plasma treatment.

blanket W deposition to a thickness of 5000 Å, the W etchback step was implemented at a 40 C cathode temperature with a top electrode, and an RF source of 13.56 MHz was used. The etching process was conducted using SF6 plasma with an in situ detecting fluorine signal. The endpoint was determined when significantly increased fluorine intensity was detected, which occurred during TiN film exposure and the following overetching time was set at 45 s. The experiments were conducted on 8-inch Si (100) p-type wafers. Contact integration schemes listed in Table I were performed on a blanket Si (100) wafer, structure wafer with an aspect ratio (A.R.) of 7, 0.3 µm feature size and 0.3 µm 64 Mb DRAM. Physical properties of the W film, including the deposition rate, sheet resistance, and film resistivity were examined in blanket W deposited on various substrates. Electrical characteristics of contact resistance and leakage current were evaluated at 0.3 µm 64 Mb DRAM. Moreover, the surface morphology and film reflectivity of various barrier-metal combinations, as listed in Table II, are determined. The film thickness was determined via fluorescent X-ray. The surface morphology was measured using an atomic force microscope (AFM) and the film reflectivity was determined by a opti-probe instrument. Four-point probes were used to measure the sheet resistance of W blanket films. Lastly, the cross section and top views of the W plugs were evaluated by SEM. 3. Experimental Results 3.1 Physical properties of tungsten on various substrates To elucidate the dependence of barrier-metals on W film deposition, samples 1 to 5 listed in Table I were deposited on 8-inch blanket wafers, which mimic actual contact metal schemes. Only the barrier film of sample 1 is PVD TiN film formed by reactive sputtering in poisoned mode (i.e., the target is nitrided) as a standard for comparison with CVD TiN film, while the others are CVD TiN film. In order to elucidate the differences between the properties of W film deposited on CVD TiN film with and without plasma treatment, samples 2 and 5 are CVD TiN film (2 × 100 Å) and samples 3 and 4 are CVD TiN film which have not undergone in situ plasma treatment. Moreover, the RTP process sequence of the contact integration sequence is changed, either before or after TiN de-

Table II. Samples 21 to 25 with the following contact integration schemes are deposited on Si (100) substrates. Process conditions of IMP Ti and RTP are the same as those shown in Table I and the CVD TiN in situ plasma-treatment period is 30 s for one cycle. Sample no. 21 22 23 24 25 Barrier film condition PVD TiN = 600 Å CVD TiN = 2 × 100 Å (N2 /H2 ) CVD TiN = 150 Åa) CVD TiN = 150 Åa) CVD TiN = 2 × 100 Å (N2 /H2 ) Scheme for tungsten deposition IMP Ti/RTP/PVD TiN IMP Ti/RTP/CVD TiN IMP Ti/RTP/CVD TiN IMP Ti/CVD TiN/RTP IMP Ti/CVD TiN/RTP

a) CVD TiN which did not undergo in situ plasma treatment.

position, in order to understand the effect of RTP on W film deposition. Samples 1 to 3 are RTP processed before TiN film deposition, and samples 4 and 5 are RTP processed after. Figure 1(a) presents the W film thicknesses and average deposition rates for samples 1 to 5. The results indicate that the W deposition rate is higher for film deposited on CVD TiN film, and that the W deposition rate decreases if RTP is performed after barrier film deposition. A 1.6% decrease in the deposition rate is seen for sample 5 as compared to sample 2, and a 4% decrease is seen for sample 4 as compared to sample 3. This indicates that a higher rate of decrease is obtained for W film on CVD TiN film without in situ plasma treatment. Figure 1(b) illustrates the sheet resistances and resistivities of samples 1 to 5. The resistivity is derived by multiplying the sheet resistance by average W film thickness. Higher film resistivity is obtained when RTP is performed prior to barrier film deposition. In other words, W films have lower resistivity than barrier films which have undergone the post-RTP process. An 8.5% decrease in the film resistivity is seen for sample 5 as compared to sample 2, and an 11.5% decrease is seen for sample 4 as compared to sample 3. Furthermore, W films on CVD TiN film exhibit lower resisitivity compared with those on PVD TiN film. These results indicate that the properties of W film are related to the substrate conditions including the barrier film conditions and the RTP process sequence. Barrier film using either the CVD or PVD method has different surface morphology and composition and may change if RTP is carried out. The effect of the RTP process sequence on CVD TiN film which did not undergo plasma

Case 1:06-cv-00788-JJF
4740

Document 86

Filed 11/06/2007

Page 16 of 53

Jpn. J. Appl. Phys. Vol. 39 (2000) Pt. 1, No. 8

H.-L. C HANG and P.-R. J ENG

Fig. 2. Surface roughness determined by AFM and film reflectivity of samples 21 to 25.

values are obtained from samples 24 and 25 than from samples 21 to 23. Generally, higher film roughness corresponds to lower film reflectivity. However, the experimental results indicate that this relationship is inconsistent. Some factors, including film composition and structure, also influence film reflectivity, thus each sample may have a different film composition or structure. 3.3 Contact metal schemes related to tungsten plug loss Figure 3(a) shows the schematic diagram of a structure

Fig. 1. Physical properties of tungsten deposited on samples 1 to 5 (a) thickness and average deposition rate of samples 1 to 5, (b) resistance and film resistivity of samples 1 to 5.

treatment is more pronounced than in that which underwent in situ plasma treatment according to the results for the film deposition rate and resistivity. 3.2 Surface morphology and reflectivity To determine the top surface layer morphology of barrier film, we used AFM to examine the surface roughness of various barrier metals in a 5 µm × 5 µm region. Table II lists the conditions for samples 21 to 25, which are the corresponding schemes of samples 1 to 5 except in terms of W film deposition. Figure 2 presents the average surface root-mean squareroughness values (Ra ) and reflectivity for samples 21 to 25. The film reflectivity is determined by the relative intensity of reflecting light for samples related to bare Si (i.e., intensity of sample/intensity of Si). In addition, the light wavelength used in this measurement is 465 nm. The surface roughness is related to the barrier-metal conditions. CVD TiN film which has undergone post-RTP treatment exhibits a lower Ra value corresponding to smoother surface morphology compared with that of CVD TiN which did not undergo post-RTP treatment. Results of W deposition rates show that it can be concluded the higher deposition rates are derived from rougher substrates, such as under the condition of RTP treatment before CVD TiN film deposition. In terms of film reflectivity, higher

Fig. 3. Schematic representations of (a) cross-sectional view of structure wafer (b) measurement of W plug loss.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 17 of 53
4741

Jpn. J. Appl. Phys. Vol. 39 (2000) Pt. 1, No. 8

H.-L. C HANG and P.-R. J ENG

wafer. Samples 11 to 15, which have the same contact integration schemes as samples 1 to 5, are processed in structure wafers. An IMP Ti film is applied as an adhesive layer; TiN film is used as a barrier layer and a seed layer for W film nucleation; blanket W film deposition followed by W etch-back is conducted to form a W plug. In this study, we measured the depth of W plug loss, as shown in Fig. 3(b), after W etch-back to determine the W plug quality. SEM inspections of samples 11 to 15 reveal that significant W plug loss is only found in sample 12, while the other samples are full W plugs. In order to determine whether the plug loss occurs during W deposition or W etch-back, we evaluated the W plug by cross-sectional SEM (CS-SEM) before and after W etch-back. Figures 4(a) and 4(b) present the CS-SEM micrographs of the W plug of sample 12 before and after W etch-back, respectively; Figures 4(c) and 4(d) show similarly obtained micrographs for sample 15. Significant W plug loss of approximately 6000 Å is evident in sample 12. However, a void-free W plug is found in sample 15. These results indicate that W plug loss occurs during W etch-back; however, this could be prevented from altering the RTP process sequence. In our study of the W etch-back step, the etching stops when the TiN film is exposed. The etching selection between W and TiN film is a major factor governing plug integrity. CVD TiN film which has undergone post-RTP treatment is considered to improve etching selectively, and therefore the plug remains full after the W etch-back. Furthermore, CVD TiN films deposited with in situ plasma treatment in a deep hole have problems achieving good uniformity because of the anisotropic bombardment of plasma, which accentuates the subsequent W film nonuniformity. However, CVD TiN films which have undergone post-RTP treatment produce smoother surfaces with respect to Ra . Smoother or denser W films may be obtained for W deposited on these barrier layers, which have higher resistance to the W etch-back process. To understand the various contact integration schemes in real devices, samples 16 to 20, with the same contact integration schemes as those of samples 1 to 5, are processed in a contact W plug of 0.3 µm 64 Mb DRAM, and the electrical characteristics of each sample are examined. Figure 5 presents the contact electrical characteristics of samples 16 to

Fig. 4. W plug examined by CS-SEM. Results for sample 12 (a). Image of W plug evaluated before W etch-back (b). Image of W plug evaluated after W etch-back. Results for sample 15 (c). Image of W plug evaluated before W etch-back (d). Image of W plug evaluated after W etch-back.

Fig. 5. Contact resistance of samples 16 to 20 evaluated at 0.3 µm 64 Mb DRAM.

Case 1:06-cv-00788-JJF
4742

Document 86

Filed 11/06/2007

Page 18 of 53

Jpn. J. Appl. Phys. Vol. 39 (2000) Pt. 1, No. 8

H.-L. C HANG and P.-R. J ENG

20. Rc n+ and Rc p+ represent the resistance between the W plug and n+ and p+ , respectively, and Rc P1 P2 and P4 represent the resistance between the W plug and each corresponding polysilicon line. Interestingly, the ranks of test sample contact resistance on device wafers are identical to the film resistivity of test samples on the W blanket wafers mentioned in §3.1. Apparently, a higher contact resistance is found in the RTP process sequence which occurs before TiN deposition than that of the post-RTP process sequence; thus, lower contact resistance is found in samples 19 and 20 than in sample 16, where the barrier film of samples 19 and 20 is CVD TiN and that of sample 16 is PVD TiN. The lowest Rc n+ and Rc p+ are processed in the sequence IMP Ti 600 Å/CVD TiN150 Å/RTP, where the CVD-TiN film does not undergo in situ plasma treatment. Lastly, the contact-induced leakage currents of all test samples are lower than 10-12 A. 3.4 CVD-TiN process conditions related to tungsten plug loss Many researchers have reported that CVD TiN film which does not undergo in situ plasma treatment has high film resistivity.16, 17, 21) High carbon contents from precursor sources and the continuing incorporation of oxygen into film upon exposure to air increase the impurity concentration, thus greatly increasing film resistivity. In situ plasma treatment with N2 or N2 /H2 , which efficiently reduces film resistivity and lowers the carbon and oxygen content has been investigated.16, 17)

As mentioned in §3.3, significant W plug loss appears in the contact integration scheme of IMP Ti/RTP/CVD TiN/W, where CVD TiN is 2 × 100 Å. In order to obtain a void-free W plug, process parameters including plasma-treatment period, cycles, and ambient are tested. We inspected the W plug by top-view SEM (T-SEM). The process conditions of samples 6 to 10 are indicated in Table I. The SEM inspections of samples 6 to 10 reveal that significant W plug loss is only found in sample 9, while the others are void-free W plugs. Figures 6(a) and 6(b) present the W plugs by T-SEM of samples 9 and 10, respectively. On the basis of SEM inspection, it can be concluded that the plasma-treatment ambient is the most important influential factor on film property. The W plug is not lost if W is deposited on CVD TiN film by plasma treatment in N2 ambient, but significant loss occurs for W on CVD TiN film with treatment in N2 /H2 ambient. In terms of film structure, CVD TiN film which does not undergo in situ plasma treatment is amorphous and the enhancement of film crystallinity via in situ plasma treatment is examined by X-ray diffraction;16, 22) different film crystallinities will be obtained if the cycle times of plasma treatment differ and CVD TiN films without any or with only one cycle of treatment gain more than twice the resistance of the W etch-back process. Moreover, different plasma-treatment ambiences may influence film composition; these details are currently being studied. The W plug is not lost in CVD TiN which does not undergo in situ plasma treatment. The existence of C and O impurities and amorphous films are considered to provide higher etching selectivity between W and TiN, and a more precise endpoint is detected in the W etchback process. 4. Conclusions This work has examined how contact integration schemes affect W film deposition. Contact integration schemes with low contact resistance were successfully designed through altering the RTP process sequence and the CVD TiN film process conditions. The key factor in obtaining a voidfree W plug process is the employment of barrier-layer CVD/PVD TiN with post-RTP treatment. SEM results indicate significant W plug loss if the contact integration is IMP Ti/RTP/CVD TiN for CVD TiN (2 × 100 Å). However, the plasma-treatment ambient, cycle and periods were demonstrated to be able to tune the CVD TiN film properties and a void-free W plug could be realized by modifying the CVD TiN process. In commercial use, combining a PVD/CVD TiN chamber with a RTP chamber in the same instrument is not an ideal design, and a contact metal scheme such as IMP Ti/CVD TiN/RTP/W provides higher production efficiency. Acknowledgements The authors would like to thank Mr. Erichsu, Mr. F. L. Chung, Mr. Y. S. Chen and Mr. P. Y. Wang for their continuous encouragement, and Dr. Edberg Fang for useful discussion. The authors are also grateful to the staff of thin film department of UTEK FAB II.

Fig. 6. W plug processed in structure wafer with T-SEM inspection. (a) sample 9, (b) sample 10.

1) A. C. Westerheim, J. M. Bulger, C. S. Whelan, T. S. Sriram, L. J. Elliott and J. J. Maziarz: J. Vac. Sci. & Technol. B 16 (1998) 2729. 2) Y. P. Chen, G. Dixit, J. P. Lu, W. Y. Hsu, A. Konecni, J. D. Luttmer and R. Havemann: Thin Solids Films 320 (1998) 73.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 19 of 53
4743

Jpn. J. Appl. Phys. Vol. 39 (2000) Pt. 1, No. 8 3) C. Faltermeier, C. Goldberg, M. Jones, A. Upham, D. Manger, G. Peterson, J. Lau and A. Kaloyeros: J. Electrochem. Soc. 144 (1997) 1002. 4) H. Koerner, H. P. Erb and H. Melzner: Appl. Surf. Sci. 73 (1993) 6. 5) O. Yamazaki, K. Nakamura, H. Sakamoto, S. Ohnishi and K. Sakiyama: Jpn. J. Appl. Phys. 33 (1994) 466. 6) P. J. Ireland: Thin Solids Films 304 (1997) 1. 7) D. Pramanik and V. Jain: Solid State Technol. 34 (1991) May, 97. 8) G. L. Leusink, C. R. Kleijn, T. G. M. Oosterlaken, G. C. A. M. Janssen and S. Radelaar: J. Appl. Phys. 72 (1992) 490. 9) E. J. Mclnerney, T. W. Mountsier, B. L. Chin and E. K. Broadbent: J. Vac. Sci. & Technol. B 11 (1993) 734. 10) S. Parikh, L. Akselrod, J. Gardner, K. Armstrong and N. Parekh: Thin Solid Films 320 (1998) 26. 11) P. Dekker, P. J. Van der Put, H. J. Veringa and J. Schoonman: J. Electrochem. Soc. 141 (1994) 787. 12) K. A. Littau, M. Eizenberg, S. Ghannayem, H. Tran, Y. Maeda, A. Sinha, M. Chang, G. Dixit, M. K. Jain, M. F. Chisholm and R. H. Havemann: Proc. 11th Int. VLSI Multilevel Interconnection Conf. Santa Clara (1994) p. 440.

H.-L. C HANG and P.-R. J ENG

13) Y. Harada, T. Akahori and H. Onoda: Jpn. J. Appl. Phys. 33 (1994) 413. 14) A. J. Silverstre, O. Conde, R. Vilar and M. Jeandin: J. Mater. Sci. 29 (1994) 404. 15) M. J. Buiting and A. F. Otterloo: J. Electrochem. Soc. 139 (1992) 2580. 16) D. H. Kim, J. J. Kim, J. W. Park and J. J. Kim: J. Electrochem. Soc. 143 (1996) L188. 17) C. K. Wang, L. M. Liu, M. Liao, H. C. Cheng and M. S. Lin: Jpn. J. Appl. Phys. 35 (1996) 4274. 18) T. Hosoda, Y. Fukumoto, S. Inagaki and H. Yagi: Proc. 14th Int. VLSI Multilevel Interconnection Conf. Santa Clara (1997) p. 299. 19) H. J. Jang, H. Sohn, J. S. Kim, K. H. Yang, S. B. Kim, S. Y. Lee, S. H. Lee, T. K. Hong, K. B. Lee, N. J. Kwak and H. J. Kwon: Proc. 15th Int. VLSI Multilevel Interconnection Conf. Santa Clara (1998) p. 109. 20) C. Y. Ho and S. Y. Hou: Proc. 15th Int. VLSI Multilevel Interconnection Conf. Santa Clara (1998) p. 171. 21) H. L. Chang and P. R. Jeng: Proc. 16th Int. VLSI Multilevel Interconnection Conf. Santa Clara (1999) p. 362. 22) M. Eizenberg, K. Littau, S. Ghanayem, M. Liao, R. Mosely and A. K. Sinha: J. Vac. Sci. & Technol. A 13 (1995) 590.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 20 of 53

EXHIBIT C

Case 1:06-cv-00788-JJF
1982

Document 86

Filed 11/06/2007

Page 21 of 53

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

"Volcano" Reactions in Oxide Vias Between Tungsten CVD and Bias Sputtered TiN/Ti Films
S. B. Herner,* Y. Tanaka, H. Zhang, and S. G. Ghanayem
Applied Materials, Santa Clara, California 95054, USA Tungsten chemical vapor deposition (CVD) remains the preferred method to make vertical metal interconnects in oxide vias on silicon integrated circuits. Vias are lined with thin titanium films, protected by a TiN diffusion barrier deposited on top followed by tungsten CVD. "Volcano" reactions, which result in rupture of the TiN barrier and electrical failure of the interconnect, remains an integration difficulty of great interest. Four parameters are found to strongly influence volcano reactions: the mixture of WF6 and SiH4 gases during tungsten nucleation film deposition, TiN barrier thickness, use of plasma preclean before Ti/TiN deposition, and a rapid thermal anneal after Ti/TiN deposition and before tungsten CVD. We describe process methods to avoid volcano reactions with thin TiN barrier films, maintaining low resistivity in the via. The influence of varying these parameters on overall step coverage is also discussed. © 2000 The Electrochemical Society. S0013-4651(99)10-076-4. All rights reserved. Manuscript received October 20, 1999. This was Paper 714 presented at the Honolulu, Hawaii, Meeting of the Society, October 17-22, 1999.

Chemical vapor deposition (CVD) of tungsten is the preferred method to fill vertical interconnects (also called vias or plugs) between levels of metallization in silicon integrated circuits. The inability of sputter deposition to fill vias with high aspect ratios (depth to diameter) at low deposition temperatures has led to the widespread use of tungsten CVD, despite the higher resistivity of tungsten relative to aluminum or copper. The most commonly used tungsten CVD precursor molecule for silicon integrated circuits is tungsten hexafluoride, WF6. Tungsten hexafluoride is a liquid at room temperature, unlike other precursors like WCl6 and W(CO)6, which are solids at room temperature.1 Tungsten hexafluoride is a relatively simple molecule compared to other precursor molecules used in the semiconductor industry, like tetrakis(dimethylamido)titanium (TDMAT) for TiN or dimethylaluminum hydride (DMAH) for aluminum, and is readily dissociated by H2 at low temperatures. Two drawbacks of using WF6 are difficulty in nucleating tungsten on TiN diffusion barriers and the corrosive fluorine byproduct. The first problem has been sidestepped by using SiH4 to reduce WF6, producing a thin, continuous tungsten nucleation film on TiN.2 Further tungsten deposition is accomplished by H2 reduction of WF6 on the tungsten nucleation film. Deposition of tungsten by SiH4 reduction of WF6 on TiN occurs without an "incubation time," or time to initiate deposition, unlike H2 reduction of WF6 on TiN. Step coverage and deposition rate of tungsten films deposited by SiH4 reduction of WF6 are reduced compared to H2 reduction, and are discussed. The second problem, fluorine contamination, has proven less tractable. Incorporation of fluorine in the tungsten film raises its resistivity.3 A more serious problem is fluorine's penetration through the TiN diffusion barrier and into the titanium film. Titanium films are deposited on highly doped regions of silicon to form TiSi2 ohmic contacts upon subsequent annealing. When aluminum is used for horizontal interconnects, a thin titanium film is sometimes deposited on top prior to making a vertical connection to it. The titanium film reduces the oxide that forms on top of aluminum in between processing steps, maintaining lower resistance in the interconnect. Titanium can be attacked by WF6 when a tungsten interconnect is deposited on it. Diffusion barrier films like TiN are employed to prevent reactions between WF6 and titanium. Even with the use of TiN, fluorine has been shown to diffuse into the underlying titanium film.4 At best, soluble fluorine in titanium merely raises its resistivity. At worst, fluorine can diffuse into the titanium to such a level to form TiFx compounds.5 Titanium fluoride (TiFx), with high resistivity and stress from the volume expansion, forms readily when the solid solubility of fluorine in titanium is exceeded, and is an unwelcome addition to any film stack. Gaseous TiF4 can also form.5
* Electrochemical Society Active Member.

The volume expansion of TiFx formation can rupture the overlaying TiN film, resulting in greater WF6 attack of the now exposed titanium film. When this happens in a via, the subsequent tungsten deposition on uplifted TiN results in a volcano-shaped amalgam of material above the via. These features yield defects upon subsequent dry etching or chemical mechanical polishing (CMP) to remove the blanket tungsten film.6 The via fails electrically, and this failure has been dubbed the "volcano reaction."7-9 While the basic mechanism of the failure, penetration of excess byproduct fluorine into the contact titanium film to form TiFx, has been elucidated, the factors that influence the reaction have not. Immediate "fixes" to avoid volcano formation, such as increasing the thickness of the TiN diffusion barrier, often come with the penalty of increased resistance in the via. A better understanding of the factors that influence volcano formation may allow avoidance without a penalty in device performance. In this paper, we define some of the parameters that influence the appearance of volcanoes in vias lined with Ti/TiN films deposited by biased sputtering. While sputtering has proven a cost effective method for depositing metal on silicon wafers, the inability of sputtering to achieve good step coverage in via and trench features with high aspect ratios has led to the use of CVD. CVD has much better step coverage in high aspect ratio features, although it is usually more costly than sputtering. Tungsten CVD is the most widely used technique to fill oxide vias for vertical interconnects in silicon. Since the liner/barrier films are typically much thinner than the diameter of the via, chip manufacturers have been slow to adopt CVD techniques for deposition of the liner/ barrier films, although this is changing. Use of high aspect ratio, deep vias by dynamic random access (DRAM) manufacturers has increased the need for improved step coverage of liner/barrier films.10 Ionizing the sputtered metals and directing them normal to the wafer by applied bias provides a cost effective means to achieve adequate bottom coverage in vias with increasing aspect ratio. One method of achieving biased sputtering is by ionized metal plasma (IMP). The IMP process utilizes an inductively coupled plasma to ionize sputtered metal atoms, and the resulting metal ions are accelerated across the sheath by applying radio frequency (rf) bias. Highly directionalized ions are more effectively deposited on the bottom of vias.11,12 The IMP process is used to deposit the TiN/Ti films in this set of experiments. Several factors are found to influence volcano reaction: the use of a plasma preclean before liner/barrier film deposition, thickness of the barrier film, temperature of tungsten CVD, and the ratio of WF6 to SiH4 gas flow during nucleation film deposition. Consideration of these factors allows for a more complete description of the volcano reaction, and for an extension of current deposition techniques into higher aspect ratio vias with thinner barrier films. These parameters are intimately linked with the goal of achieving complete fill of vias

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 22 of 53
1983

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

with the minimal TiN thickness, and are discussed. A complete description of the parameters influencing the reaction, including the effects of film stress and via size/geometry, remains to be detailed. The paper is divided into two sections: volcano reactions in oxide vias with varying TiN barrier thickness and tungsten nucleation film gas flows, and step coverage in oxide vias. We find a close relationship between parameters influencing volcano reactions and the ability to achieve good step coverage. Experimental Titanium and titanium nitride deposition were accomplished by biased, IMP sputtering in an Applied Materials Vectra chamber. Conditions are summarized here, and a complete description is available in Ref. 11. The inductively coupled plasma had a density of 1011-12/cm3. Direct current (dc) power is applied to the water cooled titanium target with a rotating magnet to create magnetron discharge to sputter the target. RF power is also applied to the coil inside the process cavity for ionization of metals. The source was operated at a relatively high pressure (15-30 mTorr) of argon (for titanium) or Ar N2 (for TiN), increasing the probability of ionization of the sputtered metal atoms. The metal ions are accelerated across the sheath by capacitively coupling the additional rf power (13.56 MHz) to the substrate pedestal. A plasma preclean was done on one set of wafers prior to titanium and TiN deposition. The preclean etched 300 Å of SiO2 by placing the wafer in a chamber of 0.5 mTorr with 5 standard cubic centimeters per minute (sccm) argon and striking a plasma of 300 W. Tungsten depositions, were carried out in an Applied Materials Centura 5200 WxZ cold walled, single wafer chamber with a resistively heated substrate. Reactive and inert gases were distributed uniformly over the wafer through a perforated plate. Tungsten deposition were preceded by depositing a monolayer of silicon in a process described in Ref. 13. Tungsten nucleation films were deposited at a pressure of 30 Torr with SiH4 and WF6 gases diluted in argon and H2. After a 350 Å nucleation film was deposited, pressure in the chamber was increased to 90 Torr, and 95 sccm of WF6 was reduced by 700 sccm of H2, diluted in argon for a total film thickness of 3800 Å. The wafer susceptor temperature was either 425 or 375 C. Wafers with 1.2 m silicon dioxide film were etched with vias to silicon, with via diameters at the surface ranging from 0.25 to 0.6 m. Via wafers were examined by scanning electron microscopy (SEM) on fractured cross sections and by transmission electron microscopy (TEM). Fluorine depth profiling through W/TiN/Ti film stacks was done by secondary ion mass spectrometry (SIMS). Sputtering for SIMS was done with 2 keV Cs beam. Relative sensitivity factors for SIMS of fluorine in each matrix were determined from analyzing films of sputtered tungsten, titanium, and TiN, (each film on a separate oxide wafer), with fluorine ions implanted to a known dose. To minimize SIMS depth profile artifacts arising from initially rough surfaces and from sputter-induced roughening, tungsten films were treated by CMP to remove tungsten film and smooth the rough as-deposited surface.14,15 Stress in the deposited films was determined by measuring wafer bow in a Tencor FLX-5200H before and after film deposition. Sheet resistance was measured by four point probe on 49 areas of the wafer. Resistivity of the films was extracted from sheet resistance measurements of the substrate and film. Rapid thermal anneals (RTAs) of TiN films were done in an Applied Materials Centura Radiance too at 580 C for 45 s in an N2 ambient. Results Via Wafers and Volcanoes It has recently been shown that pretreating metallorganic CVD TiN, particularly undense amorphous TiN, with SiH4 under conditions that result in a silicon deposition to a concentration of 1.5 1015/cm2, or approximately a monolayer on TiN, results in a more homogeneous tungsten nucleation than without a SiH4 pretreatment.13 A heterogeneous nucleation, in which tungsten is deposited as discontinuous islands on TiN, lengthens the time to achieve continuous tungsten film coverage. A longer time to achieve continuous coverage increases exposure to WF6. It has recently been shown that tungsten films are a much stronger barrier to fluorine penetration

Table I. Process conditions for patterned wafers. Set I II III IV Plasma preclean nos nos yes nos RTA after TiN? nos yes nos yes Tungsten CVD temperature ( C) 425 425 425 375 C C C C

into underlying titanium films than TiN, making it imperative to achieve a continuous tungsten film as early as possible in deposition.16 Therefore, all wafers in this experiment were pretreated with SiH4 with conditions that produced a monolayer of silicon on the TiN surface prior to tungsten CVD. Wafers with vias were deposited with IMP Ti/TiN with two parameters: (i) with and without plasma preclean prior to Ti/TiN deposition, and (ii) with and without an RTA after Ti/TiN deposition. Tungsten CVD was performed at a wafer susceptor temperature of either 425 or 375 C. Table I summarizes each set of deposition conditions. The volcano shape comes from the rupture of the TiN at the top edge of the via, with continued tungsten deposition forming the cone (Fig. 1). The Ti/TiN films are much thinner on the surface edge and sidewalls relative to the top surface outside the via and the bottom of the via. TEM micrographs (Fig. 2) show a fracture in the TiN film at the top edge of the via. As described previously,9 the fracture occurs when fluorine indiffusion reaches a level at which TiFx compounds form. The volume expansion causes a break in the TiN film, exposing further titanium to attack by WF6. Bare titanium exposed to WF6 rapidly forms TiFx compounds.5 We study volcano formation on patterned wafers with consistent process conditions. By processing the wafers in the same deposition chambers and changing only one parameter, we are able to map the process window for volcano occurrence accurately and repeatably. All via wafers were deposited with 250 Å of titanium by the IMP process and various thicknesses of IMP TiN. Tungsten CVD was done with variations in the reactive gases during the nucleation film deposition: either 30 sccm WF6 30 sccm SiH4 (1:1 ratio), 45 sccm WF6 30 sccm SiH4 (3:2 ratio), 60 sccm WF6 30 sccm SiH4 (2:1 ratio), or 60 sccm WF6 20 sccm SiH4 (3:1 ratio). The nucleation gases were diluted in 2500 sccm of argon and 1000 sccm of H2. It has been shown that when the gas flow of SiH4 exceeds WF6, silicon is incorporated into the tungsten film. Incorporation of silicon into tungsten increases the resistivity of the film, decreases the density, and results in poor step coverage.17 Therefore, this deposition regime (SiH4 flow > WF6

Figure 1. Top view of tungsten films on TiN/Ti/SiO2 films with vias etched: (a) no volcanoes, the slight depressions in the tungsten film indicate a via beneath, (b) volcanoes, and (c) close-up of volcanoes. Images a and b are viewed 30 off normal, and image c is viewed 60 off normal.

Case 1:06-cv-00788-JJF
1984

Document 86

Filed 11/06/2007

Page 23 of 53

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

Figure 4. Volcano occurrence with TiN thickness and WF6:SiH4 ratio for set II wafers (no plasma preclean, RTA after Ti/tiN deposition). Tungsten CVD at 425 C.

Figure 2. TEM micrographs of vias with volcanoes: (a) overall view of a failed via, (b) and (c) details of the failure at the fracture at the top corner of the via.

flow) was not investigated. Slightly different deposition rates were observed with different gas ratios, so the deposition time was adjusted to produce the same 350 Å nucleation film on each wafer. The bulk film depositions were the same on all wafers to provide a total film thickness of 3800 Å. We first summarize the results for each condition and then discuss the relationships between conditions. The plot in Fig. 3 describes the occurrence of volcanoes for the wafers in set I (Table I). A wafer was considered to have failed if more than three volcano features were observed by SEM per via field, with each via field having over 10,000 vias. While this criterion is severe, most of the wafers that failed had far more than that. Figure 3 indicates the relationship between TiN film thickness and gas flow ratios that become more apparent in the following sets. The process conditions in set I had the greatest severity volcano formation of the four sets studied. Figure 4 shows the results on via wafers that had an RTA after TiN deposition (set II). The RTA clearly allowed the use of thinner TiN for

several gas ratio conditions that had produced volcanoes in set I above. It has been speculated that the RTA "cures" the TiN of pinhole defects.9 Pinholes provide a facile path for WF6 to diffuse to the titanium film, accelerating volcano formation. An RTA is executed at contact level after Ti/TiN deposition. The RTA transforms the titanium to C54 TiSi2 to form an ohmic contact to highly doped regions. These silicidation anneals are done at 700-800 C for 60 s. However, an RTA is often not possible for metallization subsequent to the contact level because of the use of aluminum (low melting point) for upper level interconnects. It is apparent that higher WF6:SiH4 gas flow ratios can be used only with thicker TiN films in set II. In both sets I and II, the thinnest TiN barrier that prevented volcano formation was achieved with a 1:1 WF6 to SiH4 gas flow ratio. For a 1:1 ratio of WF6 to SiH4, TiN can be 100 Å with an RTA, whereas in set I, a minimum of 400 Å was needed to avoid the volcano reaction. A plasma preclean removes the native oxide on Si surface prior to deposition of Ti. The oxide is detrimental to device performance. The preclean removes 300 Å of SiO2 in the thick film used to insulate the vias and results in the corners at the via entrance being "rounded"; this is discussed in the Step Coverage section. Figure 5 shows the results on via wafers that have had a plasma preclean prior to Ti/TiN deposition (set III). The preclean has an even more beneficial influence than the RTA: TiN as thin as 50 Å can prevent the volcano reaction for gas ratios of 1:1. A 2:1 gas ratio can be used with 100 Å TiN, impossible with only an RTA on the TiN.

Figure 3. Volcano occurrence with TiN thickness and WF6:SiH4 ratio for set I wafers (no plasma preclean, no RTA after Ti/TiN deposition). Tungsten CVD at 425 C.

Figure 5. Volcano occurrence with TiN thickness and WF6:SiH4 ratio for set III wafers (plasma preclean, no RTA after Ti/TiN deposition). Tungsten CVD at 425 C.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 24 of 53
1985

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

The thermal budget for "back end" processes is decreasing due to the use of thermally sensitive dielectrics materials, and to achieve improved step coverage in vias. Step coverage for tungsten CVD improves for high aspect ratio (HAR) vias (higher than those studied here) with lower deposition temperatures, but also increases the stress in the tungsten film, and decreases the deposition rate. The nucleation film deposition time goes from 11 s for a 1:1 gas ratio at 425 C, to 14 s at 375 C to produce a 350 Å film. Deposition rate by H2 reduction in the second step is more sensitive to temperature: deposition time doubles to 154 s from 75 s to deposit 3450 Å. While 100 Å TiN is still compatible with 1:1 nucleation films at 375 C tungsten CVD temperature, higher gas ratios can no longer be used with thicker TiN films, as was the case with tungsten CVD at 425 C (Fig. 6). Set IV is identical to set III except for the lower tungsten deposition temperature. The process window for volcano avoidance is clearly smaller at lower temperatures. The plots in Fig. 3, 4, 5, and 6 show the beneficial effect of thicker TiN films for avoiding volcanoes. We expect that thicker TiN will allow less fluorine penetration. To illuminate the difference in fluorine penetration with TiN thickness and gas ratio in the nucleation film, fluorine was depth profiled by SIMS. Tungsten was deposited at 425 C using a 3:1 ratio on substrates with 1000 Å IMP titanium and either 100 or 400 Å IMP TiN that had received an RTA (similar to set II above). Figures 7a and b show that thicker TiN allowed less fluorine penetration into the titanium. The fluorine dose in the titanium film is reduced by an order of magnitude when going from 100 to 400 Å TiN thickness, showing a thicker TiN film is, indeed, a better barrier (Table II). A previous estimate for the upper bound for fluorine diffusivity in tungsten, DF(W) 4 10 14 cm2/s at a wafer susceptor16 temperature of 425 C (wafer temperature 415 C) allows for an estimate of fluorine diffusion length in tungsten with wafer time on the susceptor.15 The total time the wafer is at temperature after initial exposure to WF6 until a 3800 Å film is deposited and the wafer leaves the susceptor is 100 s. This yields a diffusion length of 200 Å for fluorine in tungsten. With a previous estimate of DF (Ti) at 440 C of 10 12 cm2/s, we can conclude that fluorine diffusion in tungsten is probably much slower than in titanium. This implies that the fluorine in TiN/Ti arises from initial exposure of the TiN to WF6 and the nucleation film deposition, but not bulk film deposition. While there is a high concentration of fluorine in the tungsten film, 3 1019/cm3, its slow diffusivity does not allow the vast majority of it to reach the titanium film. However, the cumulative effect of subsequent thermal cycles, especially for integrated circuits with multiple layers of metallization may continue to increase the fluorine level in the titanium film. Subsequent thermal cycling is not addressed in the current work. The dependence of fluorine penetration with WF6:SiH4 ratio is shown in Fig. 7b and c. The fluorine depth profile in a film stack of

Figure 7. SIMS depth profile of fluorine through film stacks of tungsten CVD/TiN/Ti. Process conditions: (a) tungsten CVD 425 C with 60:20 ratio/400 Å TiN (RTA)/1000 Å Ti/oxide, (b) tungsten CVD 425 C with 60:20 ratio/100 Å TiN (RTA)/1000 Å Ti/oxide, and (c) tungsten CVD 425 C with 30:30 ratio/100 Å TiN (RTA)/1000 Å Ti/oxide.

tungsten CVD/100 Å TiN (RTA)/1000 Å IMP titanium/oxide with a 30:30 flow ratio vs. a 60:20 WF6:SiH4 flow shows that the titanium film has a fluorine dose in the 30:30 sample that is one-quarter of the level in the 60:20 sample (Table II). This correlates well with the volcano plots in Fig. 3, 4, 5, and 6. A lower level of fluorine is also evident in the tungsten nucleation film in Fig. 7c; the fluorine level drops

Table II. Fluorine dose in the titanium film (1000 Å on oxide) with various process conditions. TiN thickness (Å) Figure 6. Volcano occurrence with TiN thickness and WF6:SiH4 ratio for set IV (no plasma preclean, RTA after Ti/TiN deposition). Tungsten CVD at 375 C. 400 100 100 RTA after TiN? yes yes yes WF6:SiH4 flow (sccm) 60:20 60:20 30:30 Tungsten CVD temperature ( C) 425 425 425 F dose in Ti ( 1013/cm2) 12 20 s5

Case 1:06-cv-00788-JJF
1986

Document 86

Filed 11/06/2007

Page 25 of 53

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

from 3 1019/cm3 from the H2 reduced WF6 film to 1 1019/cm3 in the 30:30 nucleation film. This also belies the relatively slow diffusivity of fluorine in tungsten. While the fluorine levels in these flat film wafers (no patterning) is not sufficient to produce TiFx, the amount of TiN/Ti that gets deposited on the via sidewalls is much less than on the flat surfaces. The step coverage of the IMP TiN is approximately 10% on the sidewalls, so for a 100 Å TiN film deposition, the TiN on the sidewalls is only 10 Å. The titanium film has similar step coverage. Accurate SIMS depth profiling for very thin films such as this is not possible. We use the thick TiN films in Fig. 7 as indications of relative fluorine levels in the film stacks in the vias themselves. The plots of volcano occurrence and SIMS show that fluorine penetration is increased with the partial pressure of WF6. The partial pressure for 60 sccm of WF6 is twice that for a 30 sccm flow for the tungsten deposition conditions in this set of experiments. Increasing the partial pressure of WF6 increases the rate of both TiF3 and TiF4 formation. The fact that for 300 Å TiN barrier film with tungsten nucleation 60:30 gas flow ratio did not produce a volcano while a 60:20 ratio did, indicates an additional factor for volcano formation: "naked" WF6 flow. Naked WF6 flow is defined as (WF6 flow) (SiH4 flow). The partial pressure of WF6 is the same, only SiH4 partial pressure is reduced. In this case, it is apparent that both a higher partial pressure of WF6 and higher amount of naked WF6 increase volcano occurrence. The process by which SiH4 breaks up WF6 and fluorine is removed has been explored by Kabayashi et al.18 with reactive gases diluted in N2. When WF6 breaks on the TiN tungsten surface, some fraction of fluorine or fluorine compound is incorporated into the growing tungsten film and diffuses to the TiN, although the vast majority is removed from the deposition chamber. The amount in the film stack increases with the partial pressure of WF6 and amount of naked WF6. This implies that when the amount of SiH4 flow is decreased while WF6 is not (e.g., a 60:20 ratio vs. a 60:30 ratio), the WF6 dissociation products are not removed from the growing film as efficiently. For the four sets of conditions studied with oxide via wafers, those wafers that received a plasma preclean clearly had the widest process window, allowing the use of thin TiN barriers and/or high WF6:SiH4 gas flow ratios. The large benefit of the plasma preclean for avoiding volcano formation was unexpected. The rounding of sharp corners at the surface of the via minimizes the severity of the reentrant profile of the TiN/Ti films, and minimizes the local stress buildup in that area that develops during subsequent thermal cycling. A reentrant profile describes the decreasing film thickness from the surface of the via to the sidewalls. The actual failure of the TiN films was observed to appear at the top corner of the via (Fig. 1 and 2). Film stress may play a role in the narrowing of the process window for volcano occurrence for tungsten CVD done at 375 C, and in the RTA's beneficial effect on reducing volcano occurrence. Fluorine depth profile in the film stack [tungsten CVD (30:30)/100 Å TiN (RTA)/1000 Å Ti/oxide] with tungsten deposition at 375 C was, surprisingly, little different from Fig. 7c (same conditions but with tungsten CVD at 425 C). Although no measurement of fluorine diffusivities at temperatures below those already mentioned could be found, we expect that the diffusivity will decline with temperature. It is possible that the lower fluorine diffusivity at 375 C was compensated by the longer deposition time needed to produce the same thickness film, allowing for near equivalent fluorine diffusion lengths. The measured stress in 3800 Å tungsten films was 1.5 1010 tensile dyn/cm2 for the deposition at 425 C vs. 1.8 1010 tensile dyn/cm2 for the deposition at 375 C. The increased tensile stress in tungsten deposited at lower temperatures may act adversely on the TiN, increasing the likelihood of a fracture and volcanoes. A higher pre-existing tensile stress in the TiN would make its fracture easier when TiFx grows and expands underneath. Stress is measured to decline from 3 1010 dyn/cm2 compressive to 1.5 1010 dyn/cm2 compressive in 300 Å IMP TiN films on 250 Å IMP Ti/oxide on wafers without patterning after an RTA. This reduction in TiN stress may be the cause of the improved volcano resistance after an RTA. The RTA may strengthen the TiN resistance to volcano reaction by

densification; Ramanath et al. found WF6 diffused through "annular nanopipes" in sputtered TiN, with WF6 breaking up deep within the TiN or even at the TiN/Ti interface, allowing WF6 and WF6 byproducts to diffuse more quickly into the titanium.4 Deconvoluting parameters such as film stress reduction and TiN densification that influence volcano occurrence is difficult. A SIMS depth profile of the film stack tungsten CVD (30:30)/100 Å TiN (no RTA)/1000 Å IMP Ti/oxide film shows little difference from that of Fig. 7c, in which the TiN has received an RTA implying that the film stress does play a role in volcano formation. However, depth profile resolution may not have allowed fine differences to be evident. Fluorine penetration differences like those in Fig. 7 were not visible with either an RTA of the TiN films or with decreasing tungsten deposition temperature to 375 C. There is no doubt from Fig. 3 and 4 that the RTA was beneficial in preventing the volcano reaction, while it was not apparent from the SIMS depth profile that fluorine penetration into the TiN/Ti film was reduced after the RTA. Step Coverage High step coverage of all the films in the via is important for two reasons: (i) overall via resistance increases when vacuum is substituted for metal, and (ii) if the wafer is chemical mechanically polished after tungsten deposition and a "seam" or "keyhole" exists in the via, as in Fig. 8b, the seam may become open to the surface after CMP, and the corrosive slurry used in CMP can degrade the quality of the via. Seams or keyholes such as that Fig. 8b are undesirable. Step coverage is determined by measuring the thickness of the W/TiN/Ti film stack on the sidewall at mid depth and dividing by one half the diameter of the via at the oxide surface. The bowed profile of the via, in which the diameter at middepth is somewhat larger than at either the oxide surface or bottom of the via, makes it impossible to completely fill the via without a keyhole. Nucleation film step coverage is about 70% for the 30:30 gas flow ratio (Fig. 9). By comparing the via diameter at the oxide surface after TiN deposition, tungsten nucleation film deposition and complete fill of the via with tungsten, we can conclude that H2 reduction of WF6 results in near 100% step coverage. While the nucleation film thickness was held constant at 350 Å in this study, the use of thinner nucleation films can improve overall step coverage (provided that the nucleation film is continuous). Study of how nucleation film thickness affects overall tungsten step coverage was not pursued in this work. Step coverage is largely affected by the thickness of the TiN film. Overall step coverage is shown vs. thickness of the TiN film (Fig. 10). The nonconformal TiN step coverage at the surface edge of the via (reentrant profile) is largely responsible for the decreasing tungsten film step coverage with increased TiN/Ti thickness (Fig. 8c), and has been discussed extensively elsewhere.12 It is important to note that the IMP process minimizes the overhang at the top surface edge of the via: unbiased sputtering would produce a more severe overhang.

Figure 8. SEM micrographs of oxide via filled with tungsten CVD/TiN/ 250 Å with an RTA (set II): (a) 100 Å TiN, (b) 500 Å TiN, and (c) detail with 500 Å TiN.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 26 of 53
1987

Journal of The Electrochemical Society, 147 (5) 1982-1987 (2000)
S0013-4651(99)10-076-4 CCC: $7.00 © The Electrochemical Society, Inc.

Figure 9. SEM micrographs of nucleation film step coverage in a via with WF6:SiH4 gas ratios of 30:30: (a) overall, (b) at the top corner, and (c) at middepth in the via on the sidewall.

Figure 11. SEM micrograph of oxide via filled with WCVD/TiN/250 Å Ti, with a plasma preclean (set III): (a) 50 Å TiN, (b) 500 Å TiN, and (c) detail with 500 Å TiN.

Both the Ti and TiN films were measured to have 5% of the surface thickness on the sidewalls, although the bottom of the via had approximately 40% of the surface film thickness. No obvious difference in step coverage in the via is observed with different tungsten CVD gas ratios during nucleation. The plasma preclean had an obvious beneficial influence for step coverage due to the improved TiN/Ti profile at the top corner of the via (Fig. 10). The sidewall of the via is now tapered 1700 Å down into the via prior to TiN/Ti deposition (Fig. 11). Thicker TiN films can be used in vias that have been plasma precleaned without sacrificing step coverage. For this study, adequate step coverage was achieved with 30:30 tungsten gas flow nucleation films, allowing for the use of thin TiN barriers. For vias with higher aspect ratios, this may not be the case (i.e., step coverage may not be adequate for 30:30 flow ratios). Conclusion Several parameters that influence the volcano reaction in oxide vias between tungsten CVD and sputter deposited TiN/Ti films have been investigated. Higher WF6:SiH4 ratios during deposition of tungsten nucleation films increased fluorine penetration and the likelihood of volcanoes. Thin TiN barriers also increased volcano occurrence, but rapid thermal annealing prior to tungsten CVD or a plasma preclean prior to TiN/Ti deposition can allow the use of thin TiN without volcanoes. The plasma preclean has a greater effect than the RTA, allowing only 50 Å of IMP TiN (without an RTA) to protect Ti, as opposed to 100 Å TiN with an RTA (without a plasma preclean).

Without either of these treatments, 400 Å of IMP TiN was needed to prevent volcano formation. Besides fluorine penetration, stress plays a role in the volcano formation, as evidenced by the plasma preclean effect and depositions of tungsten CVD at lower temperatures. The mechanism by which stress affects volcano occurrence requires further modeling and study to fully understand. Overall step coverage of tungsten CVD films was found to increase almost linearly with decreasing TiN film thickness, due to the profile of the barrier film at the surface edge of the via, and was further improved with a plasma preclean prior to TiN/Ti deposition. Though we have investigated four of the parameters affecting volcano reaction, many remain to be investigated: the influence of the titanium film thickness and profile, the partial pressures of WF6 and SiH4 for same gas ratios, the H2 and Ar gas flows during nucleation, tungsten nucleation film thickness, and via shape (e.g., parallel vs. bevel-shaped sidewalls). Acknowledgments We thank Isabelle Roflox, Adli Saleh, Teresa Trowbridge, and Ben Ng for help with the experiments.
Applied Materials assisted in meeting the publication costs of this article.

References
1. S. A. Campbell, The Science and Engineering of Microelectronics Fabrication, p. 332, Oxford University Press, New York (1996). 2. E. J. McInerney, T. W. Mountsier, C. L. Chin, and E. K. Broadbent, J. Vac. Sci. Technol., B, 11, 734 (1993). 3. S.-L. Zhang, R. Palmans, J. Keinonen, C. S. Petersson, and K. Maex, Appl. Phys. Lett., 67, 2998 (1995). 4. G. Ramanath, J. R. A. Carlson, J. E. Greene, L. H. Allen, V. C. Hornback, and D. J. Allman, Appl. Phys. Lett., 69, 3179 (1998). 5. G. Ramanath, J. E. Greene, J. R. A. Carlson, L. H. Allen, V. C. Hornback, and D. J. Allman, J. Appl. Phys., 85, 1961 (1999). 6. M. Rutten, D. Greenwell, S. Luce, and R. Dreves, Mater. Res. Soc. Symp. Proc., 239, 277 (1992). 7. C. Y. Lee, H. Yen, S. T. Hsia, D. Liu, N. Shah, K. Feldmeier, and Y. Wasserman, Mater. Res. Soc. Symp. Proc., 387, 383 (1995). 8. S. Parikh, L. Akselrod, J. Gardner, K. Armstrong, and N. Parikh, Thin Solid Films, 320, 26 (1998). 9. A. K. Nanda, S. M. Merchant, and P. K. Roy, Mater. Res. Soc. Symp. Proc., 382, 401 (1995). 10. T. Kaga, M. Ohkura, F. Murai, N. Yokoyama, and E. Takeda, J. Vac. Sci. Technol., B, 13, 2329 (1995). 11. Y. Tanaka, E. Kim, J. Forster, and Z. Xhu, J. Vac. Sci. Technol., B., 17, 416 (1999). 12. S. M. Rossnagel, J. Vac. Sci. Technol., B, 16, 2585 (1998). 13. S. B. Herner, S. A. Desai, A. Mak, and S. G. Ghanayem, Electrochem. Solid-State Lett., 2, 398 (1999). 14. S. B. Herner, B. P. Gila, K. S. Jones, H.-J. Gossmann, J. M. Poate, and H. S. Luftman, J. Vac. Sci. Technol., B, 14, 3593 (1996). 15. S. M. Hu, Mater. Sci. Eng., R13, 105 (1994). 16. S. B. Herner, H.-M. Zhang, B. Sun, Y. Tanaka, W. Shi, S. X. Yang, R. Lum, and K. A. Littau, J. Electrochem. Soc., In press. 17. V. V. S. Rana, M. Eizenberg, S. Ghanayem, J. Roberts, and A. K. Sinhar, Mater. Res. Soc. Symp. Proc., 337, 569 (1994). 18. N. Kobayashi, H. Goto, and M. Suzuki, J. Appl. Phys., 69, 1013 (1991).

Figure 10. Plot of step coverage with TiN thickness for various process conditions.

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 27 of 53

EXHIBIT D

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 30 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 31 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 32 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 33 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 34 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 35 of 53

EXHIBIT E

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 36 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 37 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 38 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 39 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 40 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 41 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 42 of 53

EXHIBIT F

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 43 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 44 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 45 of 53

Case 1:06-cv-00788-JJF

Document 86

Filed 11/06/2007

Page 46 of 53

Case 1:06